微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 微电子和IC设计 > IC后端设计交流 > 请问下,DC综合的问题

请问下,DC综合的问题

时间:10-02 整理:3721RD 点击:
是否可以在一个设计中,在指定特定的模块用特定的一些cell做综合,比如,我在test模块中,只用DFF,BUF,INV,XOR,AND去综合,其它的cell不用?

可以将对应的libcopy出来然后读入lib进行综合

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top