微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 微电子和IC设计 > IC后端设计交流 > DC后网表出现assign怎么解决啊?

DC后网表出现assign怎么解决啊?

时间:10-02 整理:3721RD 点击:
求问,dc后网表出现ASSIGN怎么解决啊
set verilogout_no_tri
change_names -hier -rules verilog
set_fix_multiple_port_nets
也用过了,但是没解决掉啊

是不是你这几条命令是在compile 之后, 写出netlist之前才加的?

可以用这个试试 set_fix_multiple_port_nets -all -buffer_constants [get_designs *]

也可以不管吧,pt也能认assign语句。

是想DC里面解决还是后端工具里面解决啊

change_names -hier -rules verilog 这个在compile之后才有效

thx~我发现了

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top