微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 微电子和IC设计 > IC后端设计交流 > modelsim下做后仿的一个错误

modelsim下做后仿的一个错误

时间:10-02 整理:3721RD 点击:
求助,
用encounter出来的spef,用pt的write_sdf写出sdf文件,pt采用bc_wc方式分析,所以一个sdf文件可以有max和min的两个延时信息
现在modelsim先做仿真,发现问题,error为:XXX.sdf(1540):failed to find matching specify timing constraint
求助各位,可能原因有哪些
目前我排除的可能有
timescale已加;时钟的频率也和综合时钟create_clock的时钟一样,求助原因。

找到问题原因了,原来是使用的仿真库错了,应该用21.lib.src的

这个库是从哪里得到呢?我用的是用smic的,里面好像没有!

用2.1版本的lib.src文件,因为sdf是2.1版本的,如果sdf是3.0的就要用相应的3.0版本的lib.src。
这个lib.src其实就是lib库的verilog解释,定义每个cell的function

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top