微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 微电子和IC设计 > IC后端设计交流 > set_input_delay clock $clock_name ? 除clock所有inputs怎么写

set_input_delay clock $clock_name ? 除clock所有inputs怎么写

时间:10-02 整理:3721RD 点击:
set_input_delay 3 -clock$clock_name ?
对所有除clock所有inputs添加延迟,?部分该怎么写啊
见有资料上说可以
set allin_except_CLK [remove_from_collection [all_inputs] [get_ports $clock_name] ]
set_input_delay $I_DELAY -clock $clock_name $allin_except_CLK
但每次这么写,DC都会报错,说current design nodefined

current design 都没有设置, 你db load进来了吗 ?

设置了啊,只要把这条指令去掉,DC就不会报错,可一旦加上就不行。我只是想实现所有input相对于时钟的延迟。刚接触综合的内容

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top