微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 微电子和IC设计 > IC后端设计交流 > DC综合的时候,“driving_cell”是如何确定的,在*.lib文件里面有明确的标识吗~谢谢~

DC综合的时候,“driving_cell”是如何确定的,在*.lib文件里面有明确的标识吗~谢谢~

时间:10-02 整理:3721RD 点击:
问大家一个问题:DC综合的时候,“driving_cell”是如何确定的,在*.lib文件里面有明确的标识吗。
比如说这一句约束:
set_driving_cell -lib_cell $my_register $all_inputs_but_clk
本人刚开始学习数字后端,望高手多多指教呵呵~

没有,要自己选一个,一般是一个中等的BUFFER

谢谢哈~现在正在学习~

建议你选一个inv···这样最好··因为inv的驱动能力最小····最小都能满足了···那就比较放心啊

话说,DC是属于前端得。

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top