微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 微电子和IC设计 > 微电子学习交流 > 请教如何将modelsim仿真的输出结果导入到matlab中分析

请教如何将modelsim仿真的输出结果导入到matlab中分析

时间:12-12 整理:3721RD 点击:

保存csv文件或者txt文件,就可以处理了

在bench中输出文本文件,然后读进matlab

在testbench中用文件写入语句吗?

自己写个fft啊,又没有多难

保存成fsdb文件,用verdi的fsdb reader API,想怎么玩都可以。

之前做过类似的,modelsim 转存成wav 文件,然后是文本格式的,描述信号更新的文件,用matlab写个parser就行了

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top