微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 微电子和IC设计 > 微电子学习交流 > primepower 一跑就挂有何办法?

primepower 一跑就挂有何办法?

时间:12-12 整理:3721RD 点击:
65nm,读standard cell的db文件时一读就挂,有何办法吗
谢谢!

pp版本只有06,db是10的,但是06的版本是最新了
有其他软件可以做功耗分析吗,谢谢

......
那只能换cds的试试了。
网上找个2010的版本吧。

连基本的常识都没有。
老tool怎么支持新的语法?

我弄错了
应该是syn_vD-2010.03这个里面的Power Compiler

好像Synopsys不更新PrimePower了
具体的你问问Synopsys的技术支持   Cadence的SOC encounter中有encounter power system也可以做功耗分析
Additional Features in PrimeTime PX
Event-based dynamic power analysis using VCD or SAIF
·  RTL and gate-level VCD and SAIF support
·  Instantaneous and cycle-accurate peak power analysis
·  Average power analysis
·  State-dependent leakage power analysis
·  Analysis of advanced low power design techniques: multi-voltage, coarse-grain MTCMOS
·  Clock tree power estimation
·  Power analysis driver GUI window
·  Distributed Peak Power Analysis
·  UPF support
·  Supports industry-standard NLPM and CCS Power libraries
------------------------------------------------------------------------------------------
Power Compiler offers the following power analysis features:
·  Performs gate-level power analysis.
·  Analyzes net switching power, cell internal power, and leakage power.
·  Accepts input as either user-defined switching activity, switching activity from RTL or
     gate-level simulation, or a combination of both. The default is vector-free.
·  Propagates switching activity during power analysis to nonannotated nets.
·  Supports sequential, hierarchical, gated clock, and multiple-clock designs.
·  Supports RAM and I/O modeling using a detailed state-dependent and path-dependent
     power model.
·  Performs power analysis in a single, integrated environment at multiple phases of the
     design process.
·  Reports power at any level of hierarchy to enable quick debugging.
·  Reports capability to validate your testbench.
·  Supports interfaces to NC-Sim, MTI, VCS-MX, Scirroco, and Verilog-XL simulators for
     toggle data.

PTPX用来代替PrimePower
算是个SignOff的tool

除了Power Compiler之外,应该还有别的公司的工作做功耗分析不错吧,大家都有用过吗?

补充一下,power artist 原来叫power theather,sequence被apache收购了以后改
叫power artist.
power artist 和 spyglass还可以在RTL阶段来做power分析, PTPX不可以。
power artist 还可以在RTL时候做power debug & reduction。如果使用PACE的
technology,RTL算出的功耗和signoff的功耗的误差可以控制在20%以内。

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top