微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 微电子和IC设计 > 微电子学习交流 > 两个模块访问同一个RAM怎么做?

两个模块访问同一个RAM怎么做?

时间:12-11 整理:3721RD 点击:
用verilog,谢谢!

仲裁已经有了,但是不知道语法上怎么写,在一个模块里例化了,在另外一个能用么?

仲裁和RAM都放在外面啊,只有一个bus,例化一次就行了

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top