微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 嵌入式设计讨论 > FPGA,CPLD和ASIC > microblaze

microblaze

时间:10-02 整理:3721RD 点击:
我的板子是virtex6 ml605,在调试microblaze中reset信号设置为高电平有效,但一直报错ERROR: MicroBlaze is under RESET. Check if the Reset input to MicroBlaze and its Bus Interfaces are connected properly

是不是复位极性设置的不对

its Bus Interfaces are connected properly?
再检查检查

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top