微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 嵌入式设计讨论 > FPGA,CPLD和ASIC > 我的FPGA学习步骤

我的FPGA学习步骤

时间:10-02 整理:3721RD 点击:
我的FPGA学习步骤
FPGA在目前应用领域非常,在目前的单板设计里面,几乎都可以看到它的身影。从简单的逻辑组合,到高端的图像、通信协议处理,从单片逻辑到复杂的ASIC原型验证,从小家电到航天器,都可以看到FPGA应用,它的优点在这里无庸赘述。从个人实用角度看,对于学生,掌握FPGA可以找到一份很好的工作,对于有经验的工作人员,使用fgpa可以让设计变得非常有灵活性。掌握了fpga的设计,单板硬件设计就非常容易(不是系统设计),特别是上大学时如同天书的逻辑时序图,看起来就非常亲切。
但fpga的入门却有一定难度,因为它不像软件设计,只要有一台计算机,几乎就可以完成所有的设计。fpga的设计与硬件直接相关,需要实实在在的调试仪器,譬如示波器等。这些硬件设备一般比较昂贵,这就造成一定的入门门槛,新人在入门时遇到一点问题或者困难,由于没有调试设备,无法定位问题,最后可能就会放弃。其实这时如果有人稍微指点一下,这个门槛很容易就过去。
          我用FPGA做设计很多年了,远达不到精通的境界,只是熟悉使用,在这里把我对fpga的学习步骤理解写出来,仅是作为一个参考,不对的地方,欢迎大家讨论和指正。
     1、工欲善其事,必先利其器。
     计算机必不可少。目前FPGA应用较多的是Altera和xilinx这两个公司,可以选择安装quartusII或者ISE软件。这是必备的软件环境。
     硬件环境还需要下载器、目标板。虽然有人说没有下载器和目标板也可学习fpga,但那总是纸上谈兵。这就像谈女朋友, 总是嘴上说说,通个电话,连个手都没牵,能说人家是你朋友?虽说搭建硬件环境需要花费,但想想,硬件环境至多几百元钱, 你要真的掌握FPGA的设计,起薪比别人都不止高出这么多。这点花费算什么?
     
     2、熟悉verilog语言或者vhdl语言 ,熟练使用quartusII或者ISE软件。
     VHDL和verilog各有优点,选择一个,建议选择verilog。熟练使用设计软件,知道怎样编译、仿真、下载等过程。
         
     3、设计一个小代码,下载到目标板看看结果
     此时可以设计一个最简答的程序,譬如点灯。如果灯在闪烁了,表示基本入门了。如果此时能够下载到fpga外挂的flash,fpga程序能够从flash启动,表明fpga的最简单设计你已经成功,可以到下一步。
     
     4、设计稍微复杂的代码,下载到目标板看看结果。
     
     可以设计一个UART程序,网上有参考,你要懂RS232协议和fpga内置的逻辑分析仪。网上下载一个串口调试助手,调试一番,如果通信成功了,  恭喜,水平有提高。进入下一步。
     
     5、设计复杂的代码,下载到目标板看看结果。
     譬如sdram的程序,网上也有参考,这个设计难度有点大。可用串口来调试sdram,把串口的数据存储到sdram,然后读回,如果成功,那你就比较熟悉fpga的设计饿了
     
     6、设计高速接口,譬如ddr2或者高速串行接口
      这要对fpga的物理特性非常了解,而且要懂得是时序约束等设计方法,要看大量的原厂文档,这部分成功了,那就对fpga的物理接口掌握很深,你就是设计高手了
      
     7、设计一个复杂的协议
        譬如USB、PCIexpress、图像编解码等,锻炼对系统的整体把握和逻辑划分。完成这些,你就是一个一流的高手、
        
     8、学习再学习
        学习什么,我也不知道,我只知道“学无止境,山外有山”。
        
希望对大家有所帮助!不到之处还请大家指点

领教了!

小编太强了!向你学习

有 前人指点还是明白些

获益匪浅   感谢小编

像小编致敬!

指教了

LZ好强啊!学习中!

参考参考

谢谢小编分享

受益良多。

新手向前辈致敬

FPGA菜鸟来学习~~

gggggggggggggggggggggg

嗯,术业有专攻,然永无止境

谢谢小编分享

强人

小编说的很有道理啊!

学习学习!

这不啥都没有啊。怎么回事

小编我评论错了。你这个有什么好的verilog书推荐没

听这口气,怕是一流的高手了。

1111111111111111111111

有所帮助

学习

受教了!正郁闷着找不到如何入门呢!

dddddd

学习啦!

受教了

挺好,谢谢啦

学习了

感谢小编

小编推荐个开发板噻。

看看

谢谢小编,内牛满面~~

就是普通的学习过程嘛,不过也是有效的学习过程

受教中......

还在小白阶段啊

干得好

受教了,呵呵

参考参考

小编,你是我偶像啊!

谢谢你,我现在正在学这个

感谢小编~~

向小编学习

不是自己的吧

谢谢这么多好人

学习中,谢谢分享。

今天开始学习,吼吼。

好小编啊,明灯啊。

tfdxyghvtkfjygfytvfytguykj

受教。

受教了

指教了

学习学习

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top