vHDL语言 t触发器使用ISE自带的仿真激励文件如何写呀!求
时间:10-02
整理:3721RD
点击:
源文件是这个:(没有问题)
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
entity basic_tff is
Port ( t : in STD_LOGIC;
cp : in STD_LOGIC;
q : out STD_LOGIC;
qb : out STD_LOGIC);
end basic_tff;
architecture Behavioral of basic_tff is
signal q_temp: std_logic;
begin
process(cp)
begin
if(cp'event and cp='1')then
if(t='1')then
q_temp t,
cp => cp,
q => q,
qb => qb
);
-- Clock process definitions
cp_process :process
begin
cp <= '0';
wait for cp_period/2;
cp <= '1';
wait for cp_period/2;
end process;
END;
到底是什么原因呀!
还是自己想办法吧!在原文件上临时文件赋初值,稍微修改一下test文件就OK了。