微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 嵌入式设计讨论 > FPGA,CPLD和ASIC > How do we make trigger signal in verilog?

How do we make trigger signal in verilog?

时间:10-02 整理:3721RD 点击:
Hi!
I think this is a basic question but I hope you can help me.
For example, I have a signal DONE that will be high for a certain time for 1 clock cycle. How can I create a signal that is same with DONE and will occur exactly after DONE and also 1 clock cycle.
Thank you.

always@(posedge clk)
begin
    done_dly <= done;
end

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top