微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 嵌入式设计讨论 > FPGA,CPLD和ASIC > WARNING:Route:455 - CLK Net:commandinput may have excessive skew because

WARNING:Route:455 - CLK Net:commandinput may have excessive skew because

时间:10-02 整理:3721RD 点击:
用ISE10.1 place&route 后,会出现如下警告:   
WARNING:Route:455 - CLK Net:commandinput may have excessive skew because
      0 CLK pins and 2 NON_CLK pins failed to route using a CLK template.
如果不管上面的警告,程序运行的结果不对,请各位高手多多指教,谢谢!



  怎么解决啊,我也遇到这个问题

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top