微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 嵌入式设计讨论 > FPGA,CPLD和ASIC > 请教modelsim6.3仿真altera fft ip core问题。

请教modelsim6.3仿真altera fft ip core问题。

时间:10-02 整理:3721RD 点击:

用modelsim编译没有问题,但是在仿真的时候sink_ready信号一直不能拉高,如下图不知道是什么原因?请教下,有人遇到过这种情况吗?如何解决?谢谢

图片如下
[attach]651192[/attach]

不知道小编解决没有,你这个问题应该是仿真的时候reset信号的时间太短,要求必须满足一个时钟周期才行。

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top