微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 嵌入式设计讨论 > FPGA,CPLD和ASIC > 如何在ISE中仿真Core generator生成的FIFO?

如何在ISE中仿真Core generator生成的FIFO?

时间:10-02 整理:3721RD 点击:
初学FPGA,想问一下:我用Core Generator生成了异步FIFO,可是不知道如何在ISE里进行仿真。生成的FIFO文件中有例化的 .v文件(这个能做测试文件吗),还有ngc文件。不知道怎么使用,求高人指点!谢谢!(xilinx ISE11.3,fifo是6.2)

真正的功能描述在库文件中,.v文件是个黑盒子,用于仿真,仿真时会在库里面将描述的功能载入,ngc是网表文件用于实现,在实现时和其他网表文件合在一起,这些都是工具软件自动做的,你只需要点按钮就是了,仿真时可能需要编译一下库文件如果是第三方软件

真正的功能描述在库文件中,.v文件只是个黑盒子,用于仿真,表明有这么个东西,仿真编译时会在库里面将描述的功能载入,ngc是网表文件用于实现,在实现时和其他网表文件合在一起,这些都是工具软件自动做的,你只需要点按钮就是了,仿真时可能需要编译一下库文件如果是第三方软件

真正的功能描述在库文件中,.v文件只是个黑盒子,用于仿真,表明有这么个东西,仿真编译时会在库里面将描述的功能载入,ngc是网表文件用于实现,在实现时和其他网表文件合在一起,这些都是工具软件自动做的,只需要点按钮就是了,仿真时可能需要编译一下库文件如果是第三方软件



    我是这样做的:将ngc文件添加到一个新建的ISE工程中,然后在ISIM仿真时添加了.v文件,将.v文件做了一点修改,保留其中的端口映射部分,然后把.v文件改成测试文件的样子,增加了时钟,然后进行仿真。波形是有,可是就是逻辑不对,我也不知道这样做是否正确,求指点!
  PS:在您的回答中,库文件指的是什么?我用的就是xilinx的开发工具,版本是13.1.

新建一个顶层文件,然后在顶层文件中例化FIFO IP核,
最后在仿真文件中把FIFO的读写时序描述出来进行仿真操作就行了



    谢谢回复!

谢谢回复!

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top