微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 嵌入式设计讨论 > FPGA,CPLD和ASIC > verilog写Xilinx FPGA对AD转换芯片WM8196配置时序怎么弄啊 求指导

verilog写Xilinx FPGA对AD转换芯片WM8196配置时序怎么弄啊 求指导

时间:10-02 整理:3721RD 点击:



鄙人不才,想用FPGA完成这个AD转换芯片的配制时序。用Verilog实现主时钟分频得到SCK还好写点,这个怎么实现在SCK的上升沿完成SDI的6位地址8位数据的写入让我很头疼啊,也没看到过这方面的资料。用状态机写吗?恳请各位高手给点建议~

用状态机写。地址和数据用移位寄存器。

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top