modelsim的波形如何保存
时间:10-02
整理:3721RD
点击:
modelsim波形不想立即显示,想用命令保存下来一个波形文件,下次在看,如何搞啊?
只能保存结果的数据库,不能继续仿真。在wave窗口使用save命令即可。
谢谢,但我想用命令行方式,不用图形界面
你可以用图形界面操作,一般会在下面的transcript里面显示你的对应指令,拷贝到命令行即可。
谢谢,还是用Debussy吧
需要在testbench中加入相关语句:
initial begin
$fsdbDumpfile("filename.fsdb");
$fsdbDumpvars;
end
or
initial begin
$fsdbAutoSwitchDumpfile(size, "filename.fsdb",file_number);
end
应该还有语句可以控制dump哪个block的waveform,请知道的人补充?
1# renninger1
仿真工程目录下的.wlf 就是仿真过程的波形文件, 下次如果希望不重新仿真就看波形,直接打开这个.wlf文件即可。
恩 真有用
vsim.wlf就是,可以用命令OpenFile打开。
保存 然后下次想看的时候 打开vsim.wlf就好了
wlf文件,应该就是了吧
应该还有语句可以控制dump哪个block的waveform,请知道的人补充?
谢谢。
我还有一个问题需要求助 如何设置仿真时间 例如 我希望wave窗口只显示100ms到130ms的波形 而不显示前面100ms的波形 因为仿真时间过长wave窗口会死机 PS:我用的是QuestaSim 应该和ModelSim 差不多吧
用脚本
log -r /*
run 3000ns
保持成vcd格式的可以吧
