systemC modelsim6.0 问题
3 个源文件
------------------- 1: counter.h --------------------
#include "systemc.h"
SC_MODULE(cnter) {
// Inputs
sc_in< bool > reset;
sc_in_clk CLK;
// Outputs
sc_out< int > data;
void entry();
// Constructor
SC_CTOR(cnter) {
SC_CTHREAD(entry, CLK.pos());
// Global watching for reset
watching(reset.delayed() == true);
}
};
--------------------------2:counter.cpp ---------------------
#include < stdio.h >
#include "counter.h"
void cnter::entry() {
int count = 2;
// Reset behavior
if (reset.read() == true) {
count = 0;
printf("RESET! Count = %d\n",count);
wait();
}
while (true) {
printf("Count = %d\n",count);
count++;
if (count > 7) {
count = 0;
}
data.write(count);
wait();
}
}
------------------------ 3:test_counter.cpp ------------------
#include "systemc.h"
#include "counter.h"
SC_MODULE(test_counter)
{
sc_signal< int > data;
sc_signal< bool > reset;
sc_clock clock;
cnter DUT;
void power_on_reset()
{
reset = true;
wait(50, SC_NS);
reset = false;
wait();
}
SC_CTOR(test_counter) : data("DATA"),
clock("clk", 100, 0.5, 0.2),
DUT("counter")
{
DUT.reset(reset);
DUT.CLK(clock);
DUT.data(data);
SC_THREAD(power_on_reset);
}
};
-----------------------------------------------------
-----------------------------------------------------
sccom -g counter.cpp
sccom -g test_counter.cpp
都编译成功
sccom -link
链接生成systemc.so也成功。
但是运行 vsim test_counter
modelsim6.0 就退出了,也不知道什么错误,真让人费解
操作系统: winxp英文版加中文补丁
不知道有谁能帮我解答这个问题[DISABLELBCODE]
systemC modelsim6.0 问题
花了好长时间,终于找到了原因,我翻了个愚蠢的错误
在top module中忘记加了一句最重要的话(宏):
SC_MODULE_EXPORT(test_counter);
加上后,一切OK,现在终于放心可以使用 systemC/verilog
混合仿真了
systemC modelsim6.0 问题
modelsim6 中是不是带了systemc的编译器了?给我一份行不?
systemC modelsim6.0 问题
安装好就有的吧,你指的是GCC吗?
systemC modelsim6.0 问题
差不多,是一个自带的c++编译器,有一个目录“gcc-3.2.3-mingw32”
就是C++编译器。
systemC modelsim6.0 问题
modelsim6.0自带了几个example , 用来练手很不错的。
能不能教我一下 初学 不知道怎么下手 qq122527242
是啊是啊,写份叫成么
SC使用起来确实麻烦,要真正实现系统级算法验证综合不容易
我也正在学习SC,就是找不到合适的综合工具,各位推荐一下好吗
顶层没有sc_main就是小编的这种方法,用一个测试模块来直接接被测试模块,然后SC_MODULE_EXPORT(test_counter);就可以仿真了,如果有sc_main的话直接编译,链接,仿真就好了。
modelsim-gcc-3.2.3-mingw32这个去官网下载吧,简单填写客户信息就可以获得ftp下载地址,官网有针对各个版本modelsim的modelsim-gcc-3.2.3-mingw32
学习中。
what?
SystemC仿真速度快些吧?
verilog仿真实在是太慢了,用C直接自己写基于周期的模型又太麻烦。
SytemC和verilog协同仿真怎么弄的?
