微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 嵌入式设计讨论 > FPGA,CPLD和ASIC > 用modelsim仿真出现的问题

用modelsim仿真出现的问题

时间:10-02 整理:3721RD 点击:
仿真的时候总是出现如下提示:
# ** Error: d:/xilinx_lib/XilinxCoreLib_ver/XilinxCoreLib_ver_source.v(24639): $recovery( posedge CLKB:1417800 ps, posedge CLKA &&& collision_posa_posb:1417800 ps, 1 ns );
#    Time: 1417800 ps  Iteration: 4  Instance: /test_bench_vhd/uut/u1/inst
# ** Error: d:/xilinx_lib/XilinxCoreLib_ver/XilinxCoreLib_ver_source.v(24640): $recovery( posedge CLKA:1417800 ps, posedge CLKB &&& collision_posa_posb:1417800 ps, 1 ns );
#    Time: 1417800 ps  Iteration: 4  Instance: /test_bench_vhd/uut/u1/inst
# ** Error: d:/xilinx_lib/XilinxCoreLib_ver/XilinxCoreLib_ver_source.v(24639): $recovery( posedge CLKB:2085 ns, posedge CLKA &&& collision_posa_posb:2085 ns, 1 ns );
#    Time: 2085 ns  Iteration: 4  Instance: /test_bench_vhd/uut/u1/inst
# ** Error: d:/xilinx_lib/XilinxCoreLib_ver/XilinxCoreLib_ver_source.v(24640): $recovery( posedge CLKA:2085 ns, posedge CLKB &&& collision_posa_posb:2085 ns, 1 ns );
#    Time: 2085 ns  Iteration: 4  Instance: /test_bench_vhd/uut/u1/inst

但并不影响仿真,仿真并不中断而且仿真结果和设想的相同;
请问出现以上提示是什么原因造成的?
怎么解决?

好像是有些异步信号不满足recovery time
检查一下你电路里面的异步信号,比如异步复位.
最好同步一下再用

肯定是你用带时序的代码了,但是你在调用这个代码时候没有时序要求,所以会有上面的问题,你可以在你调用这个代码的代码里面给这个代码输出赋值的语句都加1ns的延迟就可以了,应该就不会报这个错误了!



您好!我也出现了您出现的问题,不知道您是怎么解决的,可以告知一下吗?谢谢诶!



我也出现了同样的问题,   请问你是怎么解决的,可以告知一下吗?不胜感激

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top