微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 嵌入式设计讨论 > FPGA,CPLD和ASIC > 求助!ncsim中使用dump fsdb出错

求助!ncsim中使用dump fsdb出错

时间:10-02 整理:3721RD 点击:
我在使用ncsim仿真中,
采用如下格式
initial
     begin
            $fsdbDumpfile("top_sim.fsdb");
            $fsdbDumpvars(0,sys);
     end
来dump fsdb 文档,但是编译的时候出现如下错误
ERROR:VPI NOVALOB
              Object of type vpiNetArray does not have a value.
              ./sys.v, 1000: $fsdbDumpvars(0,sys.v)
ncsim: *internal* (sv_seghandler - trapno -1).
Ovserved simulation time : 1 NS +3
Please contact Cadenc Design Systems about this problem
               and proviide enough information to help us reproduce it.
***Current stack trace:
-->[User Code] 0x....... ..<don't know>   ........../ius5.4_vhpi/LINUX/libnovas.so
-->[VPI Overhead]0x.......<don't know>
-->[VPI Overhead]0x.......<don't know>
TOOL:   ncverilog       .......: Exiting on May 07,.........
怀疑是环境的问题,但是不知道怎么改。急啊

我原来以为.cshrc没有设置好,结果我把同事的拷过来(他跟我在同一个服务器上,且他就可以正常用),问题还是没有解决。我如果不dump fsdb 档,dump成.shm档就可以。

我也有相同的問題耶,有誰能幫忙解一下?

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top