我编写好一个简单的vhdl文件后综合的时候弹出错误
时间:10-02
整理:3721RD
点击:
就是如图所示的问,点击报的信息,vhdl程第四行就会加上蓝色背景


我已经解决了,书上有的单词印错了,我已经改好了!
我已经解决了,书上有的单词印错了,我已经改好了!
我已经解决了,书上有的单词印错了,我已经改好了!
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity VHDL1 is
generic(n:integer:=4);
port(
A,B:in std_logic_vector(n-1 downto 0);
cin:std_logic;
Y:out std_logic_vector(n-1 downto 0);
cout:out std_logic
);
end entity VHDL1;
architecture ARCH of VHDL1 is
signal TMP:std_logic_vector(n downto 0);
begin
TMP <= '0' & (A+B+cin);
Y <= TMP(n-1 downto 0);
cout <= TMP(n);
end architecture ARCH;
这个编译通过了。
