微波EDA网,见证研发工程师的成长!
首页 > 通信和网络 > 通信网络技术文库 > 3GPP 内核算法KASUMI的IP设计与测试

3GPP 内核算法KASUMI的IP设计与测试

时间:11-03 来源:3721RD 点击:

t,xt_en) --接收进程

……接收进程处理程序

xt:process(b,xt_en,reset) --发送命令产生进程

……发送命令产生进程处理程序

t:process(b,reset,t_re,xt_en,Y_reg(ybit-1 downto ybit-8)) --发送进程

……发送进程处理程序

--顶层映射

u1:baud generic map (div=>div) port map( clock => clk50mhz, reset =>reset,clk=>b); --调用波特率设置模块

u2:reciever port map(bclkr=>b,resetr=>reset,rxdr =>rxd,r_ready =>r_re,rbuf=>r_data); --调用接收数据模块

u3:transfer port map(bclkt=>b,resett=>reset, xmit_cmd_p=>xt_cmd,txdbuf=>t_data, txd=>txd, txd_done=>t_re); --调用发送数据模块

5.2 KASUMI加密系统的原理

KASUMI加密系统主要由两部分组成:其一是串口控制器,用来控制计算机与Xilinx开发板之间的数据传递,它一方面将从计算机接收到的数据传送给开发板,另一方面又可将开发板加密好的数据返回给计算机;其二是KASUMI加密模块,用来对接收的数据进行加密。图15为加密系统的原理框图:

图15 KASUMIIP核测试系统

Fig.15 The testing system of the KASUMI IP Core

5.3 串口测试结果

在串口调试助手的数据输入框中,首先输入128比特的密钥,然后输入64比特的明文,然后点击手动发送数据选项,将加密密钥和待加密的数据发送给Xilinx开发板。当开发板接受完数据后,会有一个指示灯亮来提示数据接收完成,可以进行下一步的操作。然后拨动使能端的开关,使其有效,使用KASUMI算法进行加密,加密完成后拨回使能开关,停止加密,然后通过串口将数据返回给计算机。此时在串口调试助手上就可以看到加密后的数据。图16为测试数据:

密钥:8CE33E2CC3C0B5FC1F3DE8A6DC66B1F3 明文:D3C5D592327FB11C

说明:在串口调试助手中,请选中十六进制发送和十六进制接收,按照"密钥明文"的方式输入,如测试数据1则应当以"8CE33E2CC3C0B5FC1F3DE8A6DC66B1F3D3C5D592327FB11C"格式输入,点"手动发送按钮"即可发送,发送完毕后,可以看到LD1亮,说明接收数据完毕,这时将开关SW1拨向高,LD2亮后,说明加密完毕,再将SW1拨回低电平,然后拨开关SW0到高电平,可见LD0亮,串口调试助手中显示出十六进制的一组数据,如:"DE 55 19 88 CE B2 F9 B7",说明芯片向计算机发送数据(即加密后的密文)成功。

图16 KASUMI的硬件加密结果

Fig.16 The encrypt result of KASUMI when using hardware

6 器件资源分析

此处主要阐述KASUMI算法在Xilinx开发板上进行测试时的资源利用情况。

说明:吞吐量指单位时间内该过程处理的数据量,其计算方法为:吞吐量=加密数据长度(单位:bit)/ 加密时间。

6.1 KASUMI IP核的资源利用

本设计通过了功能仿真测试,验证了该系统的加密功能的正确性,加密过程使用的是KASUMI算法。设计中使用的开发板型号是:初级板-Spartan-3E,50万门,Flash,SDRAM,USB2.0,Ethernet,VGA,A/D, D/A。根据ISE的综合编译结果得到的资源利用情况如图17所示: 4输入逻辑查找表占71%、KASUMI IP核等效门的个数为41642个。

图17 KASUMIIP核加密结果

Fig.17 The encrypt result of KASUMI IP Core

6.2 KASUMI IP核测试系统的资源利用

KASUMI IP核测试系统包括串口控制器和KASUMI IP核,经ISE编译后的资源利用结果如图18所示:

图 18 KASUMI IP核测试系统的设备利用

Fig.18 The Device Utilization Summary of the KASUMI IPCore testing system

6.3 KASUMI IP核实现性能的分析

本设计中KASUMI的实现方法与[5-7]参考文献中的KASUMI算法的实现性能比较如表3所示:

表3 KASUMI 算法的性能比较

Tab.3 The performance comparison of different KASUMI algorithm

This paper

KASUMI Enc.1[7]

KASUMI Enc.2[7]

2_rounds_

LUT[6]

8_rounds_

LUT[6]

8R [5]

Fmax

(MHz)

141.54

68.13

33.14

61.30

40.50

56

Throughput

(Mbps)

3019.58

77.86

265.12

122.60

3221.12

3580

分析说

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top