微波EDA网,见证研发工程师的成长!
首页 > 硬件设计 > FPGA和CPLD > Xilinx FPGA入门连载44:FPGA片内ROM实例之ROM配置

Xilinx FPGA入门连载44:FPGA片内ROM实例之ROM配置

时间:01-08 来源:互联网 点击:
Xilinx FPGA入门连载44:FPGA片内ROM实例之ROM配置

特权同学,版权所有

配套例程和更多资料下载链接:

http://pan.baidu.com/s/1jGjAhEm


1 ROM初始化文档创建

         既然是ROM,那么我们就必须实现给它准备好数据,然后在FPGA实际运行时,我们直接使用这些预存储好的数据就行。

         Xilinx FPGA的片内ROM支持初始化数据配置。如图所示,我们可以创建一个名为rom_init.coe的文件,注意后缀一定是“.coe”,前面的名称当然你可以随意起。


         ROM初始化文件的内容格式如图所示。从第3行开始到第34行,是这个32*8bit大小ROM的初始化数据。


2新建源文件

打开ISE工程,如图所示,在“Design à Implementation à Hierarchy”中的任意位置单击鼠标右键,弹出菜单中选择“New Source..”。


在“New Source Wizard”中,做如图所示的设置。

●  “Select Source Type”中选择新建文件类型为“IP(CORE Generator & Architecture Wizard)”。

●  “File name”即文件名,我们命名为“rom_controller”。

●  “Location”下面输入这个新建文件所存放的路径,我们将其定位到工程路径下的“ipcore_dir”文件夹下。

●  勾选上“Add to project”。


         完成以上设置后,点击“Next”进入下一步。

3IP选择

在“Select IP”页面中,如图所示,我们在“Viewby Function”下面找到“Memories &Storage Elements à RAMs & ROMs à Block Memory Generator”,单击选中它,接着点击“Next”进入下一步。


         如图所示,弹出“Summary”页面后,点击“Finish”即可。


4 ROM配置

         弹出的第1个页面中,如图所示,“InterfaceType”选择“Native”,然后点击“Next”到下一个配置页面。


         弹出的第2个页面中,如图所示,“Memory Type”选择“Single Port ROM”,其他配置默认即可,然后点击“Next”到下一个配置页面。


         弹出的第3个页面中,如图所示,ROM位宽“Read Width”输入“8”bit;ROM深度“Depth”输入“32”。其他配置默认即可,然后点击“Next”到下一个配置页面。


         第4个配置页面中,如图所示勾选“MemoryInitiazation”下的“Load Init File”,然后点击“Browse”定位到前面创建的rom_init.coe文件所在路径。


         余下2个页面均使用默认设置即可,点击“Generate”生成ROM。


Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top