微波EDA网,见证研发工程师的成长!
首页 > 硬件设计 > 硬件工程师文库 > 赛灵思FPGA中LVDS差分高速传输的实现

赛灵思FPGA中LVDS差分高速传输的实现

时间:02-11 来源:网络整理 点击:

rectly to top-level port)

.IOB(IOB), // Diff_n inout (connect directly to top-level port)

.I(I), // Buffer input

.T(T) // 3-state enable input

);

// End of IOBUFDS_inst instantiation

差分时钟组件

1)IBUFGDS

与全局时钟资源相关的原语常用的与全局时钟资源相关的Xilinx器件原语包括:IBUFG、IBUFGDS、BUFG、BUFGP、BUFGCE、 BUFGMUX、BUFGDLL和DCM等,如图1所示。

IBUFGDS是IBUFG的差分形式,当信号从一对差分全局时钟管脚输入时,必须使用IBUFGDS作为全局时钟输入缓冲。IBUFG支持BLVDS、LDT、LVDSEXT、LVDS、LVPECL和ULVDS等多种格式的IO标准。

verilog Instantiation Template

IBUFGDS instanece_name(.O(user_O),

.I (user_I),

.IB (user_IB));

参考资料:

1)基于LVDS技术与FPGA的高速通讯应用研究,韩党群,唐征兵,张庆玲

2)LVDS原理与应用简介

3) 美国国家半导体的《LVDS用户手册》

Xilinx FPGA 如何使用LVDS

无论使用HDL flow还是schematic flow,只需要例化IBUFDS,OBUFDS之类的差分缓冲器,就可以使用LVDS了。

例化之后,要定位Pin位置,使用PACE,在IO Standard中选择LVDS33或者LVDS25,还能选择有DCI的版本。定端口时,注意看Datasheet中Pin名字分P/N,这P也要对应buffer中的P,N也要对应N。而且注意同一个bank只能有一个电压标准。

如果用FPGA Editor观察布局布线后的情况,就会发现,FPGA Editor中没有IBUFDS这个Component,这个Buffer是藏在IOB里的,点击到Pin的图块中,可以看到里面有一个Buffer,就起到了IBUFDS这个作用。

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top