微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 微电子和IC设计 > IC验证交流 > sv使用dpi遇到的问题

sv使用dpi遇到的问题

时间:10-02 整理:3721RD 点击:
各位好,我在modelsim上可以运行uvm框架,最近想试一下dpi,测试了一个简单的程序,modelsim报错 Can't locate a C/C++ compiler for 'DPI Export Compilation'.,请问怎么解决呢,谢谢!

看起来是没找到C语言的编译器。查一下文档看有没有在modelsim使用的相关说明。

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top