微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 微电子和IC设计 > IC验证交流 > 请教:vcs查看systemverilog的功能覆盖率

请教:vcs查看systemverilog的功能覆盖率

时间:10-02 整理:3721RD 点击:
用sv写了一段关于功能覆盖率的一段代码。但是要看到结果好像要用urg命令,有没有用过的?请教一下
用的命令就是 vcs -sverilog *.sv ./simv
仿真过程就是如上所述 我想可能是一些选项没有加上吧 用urg命令的时候好像提示没有关于功能覆盖率的db文件 网络上有这个模板 $> vcs -Mupdate -cm line source.v $> simv -cm line $> vcs -cm_pp -cm line 但是好像是测代码覆盖率的望指教!

vcs-sverilog -R -debug_all -ntb_opts dtm -ntb_opts rvm -assert enable_diag -assert dve*.sv
urg -dir *.vdb *.cm

查看vcs手册就行 找compiler directive

结贴
找到问题了
原因是vcs版本太老了,只支持.db格式的文件,。vdb文件里面是空的 用urg -dir . xxx.db就可以了
同时谢谢楼上两位的帮助

新股推荐 地产股午后加速 沪指再上3000

DDDDDDDDDDDDD

cmView

顶一个~

学习学习了

很是受用啊。

顶一个,学习了。

学习学习了

vcs能像modelsim那样把所有testcase的功能覆盖率merge起来统计吗

urg dir -simv.vdb -format text这个命令可以将覆盖率信息(包括功能覆盖率)转换成.txt的形式

感谢小编分享

十分感谢,学到了

十分感谢,学到了

urg -dir simv.vdb

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top