微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 微电子和IC设计 > IC验证交流 > ncverilog生成fsdb文件的问题

ncverilog生成fsdb文件的问题

时间:10-02 整理:3721RD 点击:
各位同仁好,
在用nv与hsim混合仿真时,遇到这样两个问题。
系统自动配置成ncvlg输出fsdb格式的波形,但是在tb.v中使用$fsdbDumpon;会报错,表示未定义的系统任务。系统可以使用novas看dump出来的波形,但是就是不能使用fsdb系统任务语句。
由于仿真平台设计原因,仿真时间很长,fsdb会dump出4个3G左右的,超出了系统磁盘配额,因此nvlig就停了。这里如果删除之前dump出的fsdb文件后,可以继续仿真吗?用什么命令继续才能保证正确性?如果不能继续的话,那么在仿真时的命令行中,删除掉+access+rwc可以吗?我有一个专门比对结果的输出文件,所以没有波形没关系。
谢谢大家了。

提示未定义的系统任务是不是你的PLI没整对,但你又说出来fsdb了,不知道啥意思

停止是停在了什么界面上?是指令符吗?如果是的话,应该删除波形后可以继续的。

同疑问

可以分开dump吧

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top