微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 微电子和IC设计 > IC验证交流 > 请教sv中的dpi

请教sv中的dpi

时间:10-02 整理:3721RD 点击:
请问各位大大,sv中的dpi接口可不可以调用C++的lib呀?有没有人实际用过呢?

没有实际用过 但是觉得可以

偶调用过C,但只是调用自己写的function,C++没尝试过,Lib也没用过

dynamic lib could be compiled by c++
Pls give us more details or elaborate your questions

linux环境下设置环境变量VCS_CC = /usr/bin/gcc

同样关注!

可以调,但是要用C包一下

xiexie fengxiang

学习一下

将c++函数加一个c的wrap就可以了

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top