微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 微电子和IC设计 > IC后端设计交流 > 请问怎样将IO pad加到DC综合后网表中?

请问怎样将IO pad加到DC综合后网表中?

时间:10-02 整理:3721RD 点击:
看论坛说是可以在综合前以及综合后加入,请问具体是怎样加入的?
谢谢~

自己像写代码一样去例化,不过要选好PAD的类型,然后通过顶层将PAD与内核的端口连接起来,综合的时候要加入PAD的库,我当初就是这样做的

受教了

急需啊哟.......................

2# empty_085

re
综合后加的话就要修改综合后的网表文件,加入IO pad,并和内部信号连接起来
2# empty_085

了解了~

我的先綜合,寫個腳本文件,top—connect鏈接

我也在学DC,先收藏一下,以备后用~

综合前和综合后添加,有什么利弊呢

同样求问, core_logic -> I/O cell -> PAD; 是指这样的PAD吗? 或者您指定PAD是I/O cell

学习学习!

IO PAD加上了,可是综合后的网表中power pad 没有加上,不知为何?

原来综合后也可以加,学习了.

前辈,关于将IO pad加到综合后网表,请问前辈是怎么做的?我在encounter里加pad,老加不上,大侠们说是网表里没有io pad,请前辈指点!

学习学习!

你好,本来加上设计输入输出上的 input、output delay, 在 添加了顶层IO后,还需要 对IO顶层添加 input outputdelay吗?

还有特殊的VDD和VSS该如何处理呢?

加上pad再综合,报告的芯片面积会加上pad的面积。并且在用encounter的时候,pad跟芯片(core)是分开的,而core有算上了pad的面积,为之奈何?

具体怎么加没有详细说明啊


特殊的PAD,在库里有特殊的说明的,有自己的"module"

请问这个问题是如何解决的?

亲,有相应教程么?我现在做DC综合,但是不知道怎么加上PAD

亲,有相应教程么?我现在做DC综合,但是不知道怎么加上PAD

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top