微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 微电子和IC设计 > IC后端设计交流 > 请教存在时钟mux情况下,create_generated_clock的问题

请教存在时钟mux情况下,create_generated_clock的问题

时间:10-02 整理:3721RD 点击:
本设计有两个clock,分别叫osc_clk和ext_clk,频率是不一样的,都是从port送进来的,经过一个mux之后,输出一个sys_clk给系统用,然后又将这个sys_clk二分频,给某些DFF用。请教大家,在综合时,create_generated_clock后面的 -source应该写哪个clk呢? 还有就是这个generated clock要不要定义clock uncertainty这些参数呢?
谢谢大家!

都写,-add即可,
uncertainty都要的,通常是对【all_clocks]

这两个时钟是异步的,可以两种情况都作分析:
create_clock -name osc_clk PERIOD_OSC [get_ports osc_clk]
create_clock -name ext_clk PERIOD_EXT [get_ports ext_clk] -add
create_generate_clock -combinational -name sys_clk_osc -source clk_osc [get_pins MUX/out] -add
create_generate_clock -combinational -name sys_clk_ext -source clk_ext [get_pins MUX/out] -add
然后分别以这两个时钟为源时钟,分别作时序分析,即有两套时序约束;
注意要:set timing_enable_multiple_clocks_per_reg true,否则只做一种分析;
但其实你这种情况只需要选择一个较快的时钟分析就行了;
uncertainty确实是都需要的,你可以generated clk不加,看看时序报告就知道了。

多谢小编和大神耐心的回复!我试了一下,真的成功了!

-source 不可以用 sys_clk 吗?

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top