微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 微电子和IC设计 > IC后端设计交流 > 关于pad的max_transition违例

关于pad的max_transition违例

时间:10-02 整理:3721RD 点击:
做完ICC的place_opt后,发现有max_transition违例,并且都在pad上,这个该用什么命令修呢

ignore, 你set_load设多少, 通常几十pf 电容会导致pad的trans violation,不过没关系

我设置的load是22pF,我sdc设置的max_trans是2,pad库里的是max_trans是3.99,实际的trans是3.84,还有有几个max_capcitance的违例应该怎么修?

是pad的输出端的trans违例,换了驱动能力更大一点的pad trans 就好了

没必要修

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top