微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 微电子和IC设计 > IC后端设计交流 > change_names,set bus_naming_style如何使用可以让网表文件内容正确显示

change_names,set bus_naming_style如何使用可以让网表文件内容正确显示

时间:10-02 整理:3721RD 点击:

我的 lib_link.path中关于命名规则的语法如下:
set bus_dimension_separator_style "_"
define_name_rules rule2 -restricted "\!\@\#\$\%\^\&\*\(\)\\\/\-\"\{\}" -case_insensitive -map {{{"%s[%d]","%s_%d"}}} -type port -target_bus_naming_style "%s_%d"
define_name_rules rule3 -remove_port_bus
define_name_rules rule4 -case_insensitive -map {{{"_reg[%d]","_reg_%d"}}}

run.dc.tcl文件中关于命名规则的语法如下:
set bus_naming_style {%s[%d]}
change_names-rules verilog -hierarchy
change_names -rule rule2 -hierarchy
change_names -rule rule3 -hierarchy
change_names -rule rule4 -hierarchy
write -format verilog -hierarchy -output ./report/$module.net

写出来的.net文件
HDR_DRNQ_1 q_reg_1_ ( .D(din_0), .CK(clk), .RDN(rst_n), .Q(q_1) );
HDR_DRNQ_1 q_reg_0_ ( .D(din_1), .CK(clk), .RDN(rst_n), .Q(q_0) );

怎么 在单元例化名后 有一个 - 符号呢,怎么去掉呢

没用过这个 define_name_rules, 你有看过没 change name 出来的.v 的确是方括号哦? debug 的时候把前面几个 change name 屏蔽掉只留相关的最后一个

为什么只留下最后一个呢?

不要弄太复杂,留最后一个就可以了

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top