微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 微电子和IC设计 > IC后端设计交流 > 关于 set_min_delay set-max_delay的问题

关于 set_min_delay set-max_delay的问题

时间:10-02 整理:3721RD 点击:
set_min_delay 0 -to dout_reg[3]/D
请问这句话是什么意思,或者说 set_min_delay是什么意思,怎么用呢,我看有人说是:

这个是例外约束。不是路径延时。这个只能改变指定路径发射沿和锁存沿的关系,就是他会用你设置的值来分析。根本起不到约束延时的目的!


但也有人说可以约束路径延迟,比如输入到输出之间是纯组合逻辑,就可以用这个来约束延迟 ,


谁能细致讲下,

多谢啦

这两个人说的都对

那如果都对的话,岂不是矛盾了? 对于第二种说法,纯组合逻辑就没有发射沿和捕获沿吧 第一种说法中可是有时序的啊

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top