微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 微电子和IC设计 > IC后端设计交流 > 关于timing check的edge

关于timing check的edge

时间:10-02 整理:3721RD 点击:

create_clock -name ck1 -period 0.454 -waveform { 0 0.227 } [get_ports { port_name }]
create_generated_clock -name ck2 -divide_by 2 -source [get_ports { port_name }\
[get_pins {pin_name}]
现在有一条feedthrough path,input port的launch clock为ck2, output port的capture clock为ck1.
Startpoint: input_port_name
(input port clocked by ck2)
Endpoint: output_port_name
(output port clocked by ck1)
clock ck2 (rise edge)0.908
clock ck1 (rise edge)1.362
按理说 check的edge应该是 0 到 0.454 , 如下图 ,但是实际的report却是从0.908到1.362, 虽然timing的差值是一样的,但是感觉有问题。



有没有类似的sdc 使得这种check发生偏移?

我记得是有什么option 可以使之改成从0开始计算吧?

tool 沒錯, clk1 在 time 0.454 時 clk2 是 rising edge 嗎?
generated_clock domain 的 endpints timing check 本來就是要貼上 master_clock 的週期.

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top