微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 微电子和IC设计 > IC后端设计交流 > formality中read Reference时,不认识RTL中的自定义library?

formality中read Reference时,不认识RTL中的自定义library?

时间:10-02 整理:3721RD 点击:
如题:错误提示如下:gencomp does not denote a library or package,我的其他RTL文件放在gencomp文件夹下了,在当前RTL中要引用这个library啊,结果报错,求大神解决啊

不是随便一个 directory 就是什么所谓的自定义 library
你可以 set 成是 search_path, read rtl 的时候就不用写全路径

是这样的,代码如下
library ieee;
use ieee.std_logic_1164.all;
library grlib;
use grlib.stdlib.all;
library gaisler;
use gaisler.misc.all;

前两句是系统包,没问题,但后两句是自定义的,结果read时就出错,咋解决?谢谢!

我不懂VHDL,如果你对自定义库不是十分了解,那google百度应该就可以帮到你

这定义没问题,在ise里都能通过,但在formality里就不行了,不知如何设置

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top