微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 微电子和IC设计 > IC后端设计交流 > 静态时序分析的问题····跪求帮忙了

静态时序分析的问题····跪求帮忙了

时间:10-02 整理:3721RD 点击:

我在布
图后抽取spef文件和sdf文件然后用pt进行静态时序分析的时候,出现的hold报告是这样的为什么是这样的?

hold

起始端的clock network delay为0

spef反标有问题啊, & 都没有

谢谢陈小编的回复····

对···就是这个问题···但是我读入反标的时候软件也没有说有错误啊···一般这个问题出在哪里啊?是反标参数抽取问题还是啥?谢谢了

谢谢大家的回复····这个问题我知道了···原来是我在写encounter的脚本的时候把timing library全写在了common 里面 谢谢各位···

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top