微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 微电子和IC设计 > IC后端设计交流 > 关于FF的CK和RN的setup问题?

关于FF的CK和RN的setup问题?

时间:10-02 整理:3721RD 点击:
刚发错了,发到前端了 ,请小编帮忙删除,谢谢.
请教个问题,我在代码里用的是异步复位,即always@ (posedge clk or posedge rst),可是为什么在后仿的时候会报CK和RN的setup timing问题啊?
而且我看了仿真库后,发现都有CK和RN的setup的timing表。
我目前的解决方法是注释掉库里FF关于CK和RN的timing。
还有我在后仿的时候每次都需要注释掉".sdf"文件里所有关于RECOVERY和REMOVAL的timing,否则modelsim仿真时会报错,请问这又是为什么啊。
请大哥大姐帮帮忙,谢谢啦!

请问你的这个问题解决了吗?我也碰到这个问题了。多指教,谢谢

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top