微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 微电子和IC设计 > IC后端设计交流 > 我现在需要synopsys综合一个电路,但是我没有发现db和sdb文件,怎么办

我现在需要synopsys综合一个电路,但是我没有发现db和sdb文件,怎么办

时间:10-02 整理:3721RD 点击:
我现在需要synopsys综合一个电路,但是我没有发现db和sdb文件,但是有一个.v的文件,其中的内容是:
`timescale 1ns/10ps
`celldefine
module AN2D0 (output Z, input A1, A2);
assign Z = A1 && A2;
specify
(A1, A2 *> Z) = (0.103,0.070);
endspecify
endmodule
`endcelldefine
请问这个也可以用来综合吗?谢谢!

试着玩的话,可以用synopsys里面自带的db文件,听人说用google可以查到如何使用
实际的设计的话,找foundry要

谢谢你

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top