微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 微电子和IC设计 > IC后端设计交流 > 关于分频时钟generated_clock在CTS前后的处理,sdc约束应如何写呢?

关于分频时钟generated_clock在CTS前后的处理,sdc约束应如何写呢?

时间:10-02 整理:3721RD 点击:
如题,
在CTS之前需要定义generated_clock,表示其和source clock的相位关系。
CTS之后应该如何约束呢?
大侠指点啊!

generated_clock你需要定义相位关系么?定义了相位关系,那还定义generated clock有什么意义?
你只需要定义source clock , clock port, clock name,-divide_by 这些就足够了吧。

2# phoenixson
一语惊醒梦中人,小弟想知道的是:
CTS后是否应该将分频时钟直接定义为 create_clock?

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top