微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 嵌入式设计讨论 > FPGA,CPLD和ASIC > 请教:如何监测一个时钟信号的有无?谢谢

请教:如何监测一个时钟信号的有无?谢谢

时间:10-02 整理:3721RD 点击:
请教:如何监测一个时钟信号的有无?谢谢

请教:如何监测一个时钟信号的有无?谢谢
不知道你说的有无是怎么定义的?可以用时钟采样这个信号,可以得到这个信号的几种状态:高电平,低电平,上升沿,下降沿。

请教:如何监测一个时钟信号的有无?谢谢
我是指时钟信号的存在与否,还要能排除干扰,谢谢

请教:如何监测一个时钟信号的有无?谢谢
把时钟引到一个输出脚上不就可以用示波器观察了!?

请教:如何监测一个时钟信号的有无?谢谢
让它去驱动一个计数器,看看有没有计数不就知道了

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top