大神帮忙看看uvm报错
`include "my_sequence.sv"
`ifndef MY_SEQUENCER__SV
`define MY_SEQUENCER__SV
class my_sequencer extends uvm_sequencer #(my_transaction);
function new(string name, uvm_component parent);
super.new(name, parent);
endfunction
task main_phase(uvm_phase phase);
my_sequence seq;
phase.raise_objection(this);
seq=my_sequence::type_id::create("seq");
seq.start(this);
phase.drop_objection(this);
endtask
`uvm_component_utils(my_sequencer)
endclass
`endif
@trustyou
有
my_agent_sqr.sv是哪个文件?
Error: (vsim-7065) my_agent_sqr.sv(39):
0楼的
my_agent
只是起文件名的时候+了sqr和class name不一样了
看邮箱
方向反了吧。
sequencer的export是用来收sequence那边来的item的。
你这个应该是sequencer.connect(drv.export)
UVM里面的export的用途和字面意思相反。
这个问题解决了么
new一下port
解决了
肿么解决的
修改的比较多,也不是太确定;
应该是include 某个文件名写错了
