微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 微电子和IC设计 > 微电子学习交流 > Re: verilog如何用for循环遍历数组,生成测试向量?

Re: verilog如何用for循环遍历数组,生成测试向量?

时间:12-12 整理:3721RD 点击:
一个task中用计数器持续加1操作不行么,你这个特殊在哪?

你不会刚刚接触verilog吧,说真的,你需要看下书,稍微看下

测试向量生成应该用顺序执行语句,而不应该用always,initial begin即可

我实在看不过去了,你直接用sv啊!
initial
   while(1) begin
       for(a=0;a<8;a++)
           @(posedge clk);
   end
begin
※ FROM: 113.92.101]
※ 来源:·水木社区 http://www.newsmth.net·[FROM: 113.92.101]

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top