微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 微电子和IC设计 > 微电子学习交流 > 问个如何在code里面定义ram或rom的问题

问个如何在code里面定义ram或rom的问题

时间:12-12 整理:3721RD 点击:

你开源的mcu代码里头不一定有rom/ram
一般都扔个memory interface在那儿
用的时候再接到bus上来

前端的模型,代工厂都会提供一个verilog模型的。用那个 搞前仿就可以了。

昨天仔细把memory相关代码看了才发现真的没有
找到手册发现确实没有。
早问你就好了,哈哈,谢了啊

我看不懂你的代码,
Simulation都是仿真用的代码 , 比如访问内存 用累死 data= MEM【addr] 这样就行了
ASIC Model是 你必须实例化一个 真的Memory cell
用Memory Compile生成 , 你看看Memory Cell 的接口
根据时序修改 仿真到 真的Memory Cell的接口
估计有点工作量
你不是后端的么,打开人家的RTL代码看看不就清楚了~

干后端的那些年,还真没给直接接触综合前代码的机会....
这两块可否各抄送一段简单的代码给我看看,发到邮箱就行
总之非常感谢你

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top