微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 微电子和IC设计 > 微电子学习交流 > 求助synopsys的DW里面dw_fp_div_seq使用

求助synopsys的DW里面dw_fp_div_seq使用

时间:12-12 整理:3721RD 点击:
要用到浮点除法,调用designware里面的IP核dw_fp_div_seq在DC下综合,出来的网标是空的,DC版本2008,怎么回事?dw_fp_div综合是可以的,但是频率很低,尝试了几种插入pipline的方法都不理想。dw_fp_div_seq这个IP那位用过?多谢指点,另外如果用dw_fp_div的话,如果能插入寄存器,使得该模块流水操作,从而提高频率?

DC-ultra retiming能搞定么,为什么dw_fp_div_seq综合出来网表是空的?看看dc的log,你是在ultra下综合的么?

我对 DW 自带的 Arithmetic 综合一直不感冒。
我学习期间就是以浮点运算毕业的。
不过你能有一种办法综合出来已经非常不错了。
问题是各种异常情况都考虑进去了么,是否需要考虑。

有可能是DC版本的问题,我用的是2008版本,在synopsys上查的信息好像这个版本不支持dw_fp_div_seq,正在下新的DW包,但是在DC的环境中read_lib是可以看到dw_fp_div_seq的,很奇怪,不支持为啥还能看到。等新的DW下完后再试试

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top