微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 微电子和IC设计 > 微电子学习交流 > 关于Questasim - OVM

关于Questasim - OVM

时间:12-12 整理:3721RD 点击:
☆─────────────────────────────────────☆
   nanguawhj (南瓜) 于  (Thu Aug 12 13:19:34 2010)  提到:
请问各位大侠,做验证的时候一般都用什么版本的Questasim 和什么版本的OVM,我用Questasim6.4 + OVM 2.0.1行吗?但是用这个去运行网站:
http://www.testbench.in/CM_11_PHASE_8_SCOREBOARD.html给的例子,会报错:
# QuestaSim qverilog 6.4 Compiler 2008.06 Jun 18 2008
# -- Compiling package ovm_pkg
# -- Compiling module switch
# -- Compiling interface mem_interface
# -- Compiling interface input_interface
# -- Compiling interface output_interface
# ** Error: Sequencer.sv(13): Illegal parameter specification for non-parameterized class type.
# ** Error: Sequencer.sv(13): near "#": syntax error, unexpected '#', expecting ';'
# ** Error: Sequencer.sv(13): Error in class extension specification.
# ** Error: Sequencer.sv(20): super.new() call illegal for class with no super-class.
# ** Error: Sequence.sv(11): Illegal parameter specification for non-parameterized class type.
# ** Error: Sequence.sv(11): near "#": syntax error, unexpected '#', expecting ';'
# ** Error: Sequence.sv(11): Error in class extension specification.
# ** Error: Sequence.sv(14): super.new() call illegal for class with no super-class.
# ** Error: Sequence.sv(30): Illegal parameter specification for non-parameterized class type.
# ** Error: Sequence.sv(30): near "#": syntax error, unexpected '#', expecting ';'
# ** Error: Sequence.sv(30): Error in class extension specification.
# ** Error: Sequence.sv(33): super.new() call illegal for class with no super-class.
# ** Error: Driver.sv(13): Illegal parameter specification for non-parameterized class type.
# ** Error: Driver.sv(13): near "#": syntax error, unexpected '#', expecting ';'
# ** Error: Driver.sv(13): Error in class extension specification.
# ** Error: Driver.sv(25): super.new() call illegal for class with no super-class.
# ** Error: Environment.sv(32):  Failed to find name 'type_id' in specified scope
# ** Error: Environment.sv(33):  Failed to find name 'type_id' in specified scope
# ** Error: Environment.sv(36):  Failed to find name 'type_id' in specified scope
# ** Error: Environment.sv(40):  Failed to find name 'type_id' in specified scope
# C:/questasim_6.4/win32/qverilog failed.
我输入的编译命令是:
qverilog +incdir+ovm ovm/ovm_pkg.sv +incdir+. rtl.sv interface.sv top.sv -R +OVM_TESTNAME=test1
也是按照它给的例子的命令写的,其中我的库叫OVM,在所在工程的根目录下,已经编译了ovm_pkg。
其中,error对应的代码为:
class Sequencer extends ovm_sequencer #(Packet);
super.new(name, parent);
class Seq_device0_and_device1 extends ovm_sequence #(Packet);
super.new(name);
Drvr   = Driver::type_id::create("Drvr",this);
Seqncr = Sequencer::type_id::create("Seqncr",this);
Rcvr   = Receiver::type_id::create($psprintf("Rcvr%0d",i),this);
Sbd   = Scoreboard::type_id::create("Sbd",this);
  我看过OVM2.0.1的用户手册,那里面说的关于上面报错的语法都能上面语法中那么使用,但是不知道在这里为什么会报错,也就是说利用OVM2.0.1可以这么写:“class Sequencer extends ovm_sequencer #(Packet);”,但是在我这里就会出错,不知道为什么啊?是不是我的操作有问题?很多例子都是这样,在我这都不能运行了,老报错,这个问题困扰我好长时间了。
附件中的程序就是Phase8的程序代码。
各位能不能下载下来运行一下,帮我解答一下我的疑问,谢谢!
☆─────────────────────────────────────☆
   nanguawhj (南瓜) 于  (Thu Aug 12 13:20:49 2010)  提到:
自己顶顶,期待各位高手的帮助
【 在 nanguawhj (南瓜) 的大作中提到: 】
: 请问各位大侠,做验证的时候一般都用什么版本的Questasim 和什么版本的OVM,我用Questasim6.4 + OVM 2.0.1行吗?但是用这个去运行网站:
: http://www.testbench.in/CM_11_PHASE_8_SCOREBOARD.html给的例子,会报错:
: # QuestaSim qverilog 6.4 Compiler 2008.06 Jun 18 2008
: ...................
☆─────────────────────────────────────☆
   BUFG (民工) 于  (Thu Aug 12 13:53:24 2010)  提到:
你试着把ovm包放到questasim的目录下,具体你先找找avm在哪里
和它放一块。我现在手上没有modelsim之类的,晚上回去我试一下。
uvm都可以跑起来。ovm没道理啊。
【 在 nanguawhj (南瓜) 的大作中提到: 】
: 请问各位大侠,做验证的时候一般都用什么版本的Questasim 和什么版本的OVM,我用Questasim6.4 + OVM 2.0.1行吗?但是用这个去运行网站:
: http://www.testbench.in/CM_11_PHASE_8_SCOREBOARD.html给的例子,会报错:
: # QuestaSim qverilog 6.4 Compiler 2008.06 Jun 18 2008
: ...................
☆─────────────────────────────────────☆
   nanguawhj (南瓜) 于  (Thu Aug 12 15:02:31 2010)  提到:
谢谢你啦,我也觉得啊,应该没有问题啊,怎么就不行。
我先试试把OVM放到QuestaSim目录下行不行。
【 在 BUFG (民工) 的大作中提到: 】
: 你试着把ovm包放到questasim的目录下,具体你先找找avm在哪里
: 和它放一块。我现在手上没有modelsim之类的,晚上回去我试一下。
: uvm都可以跑起来。ovm没道理啊。
☆─────────────────────────────────────☆
   nanguawhj (南瓜) 于  (Thu Aug 12 16:29:44 2010)  提到:
顶一下,期待各位的答复
【 在 nanguawhj (南瓜) 的大作中提到: 】
: 谢谢你啦,我也觉得啊,应该没有问题啊,怎么就不行。
: 我先试试把OVM放到QuestaSim目录下行不行。
☆─────────────────────────────────────☆
   BUFG (民工) 于  (Thu Aug 12 17:07:56 2010)  提到:
还不work?
【 在 nanguawhj (南瓜) 的大作中提到: 】
: 顶一下,期待各位的答复
☆─────────────────────────────────────☆
   feiyingCQ (feiying) 于  (Thu Aug 12 17:29:07 2010)  提到:
按照上面的提示  我也运行不了那些例子
【 在 nanguawhj (南瓜) 的大作中提到: 】
: 请问各位大侠,做验证的时候一般都用什么版本的Questasim 和什么版本的OVM,我用Questasim6.4 + OVM 2.0.1行吗?但是用这个去运行网站:
: http://www.testbench.in/CM_11_PHASE_8_SCOREBOARD.html给的例子,会报错:
: # QuestaSim qverilog 6.4 Compiler 2008.06 Jun 18 2008
: ...................
☆─────────────────────────────────────☆
   feiyingCQ (feiying) 于  (Thu Aug 12 17:42:08 2010)  提到:
uvm下面那个helloworld的例子 跑不起来啊:
qverilog -f compile_questa_sv.f
# QuestaSim qverilog 6.4c Compiler 2008.12 Dec  8 2008
# D:\questasim_6.4c\win32\qverilog.exe -reportprogress 300 -guimode -f compile_questa_sv.f
# -- Compiling package uvm_pkg
# -- Compiling module hello_world
# -- Importing package uvm_pkg
# ** Error: packet.sv(29): (qverilog-2163) Macro `uvm_object_utils_begin is undefined.
# ** Error: packet.sv(29): near "(": syntax error, unexpected '(', expecting "function" or "task"
# ** Error: packet.sv(30): (qverilog-2163) Macro `uvm_field_int is undefined.
# ** Error: packet.sv(31): (qverilog-2163) Macro `uvm_object_utils_end is undefined.
# ** Error: producer.sv(24): Undefined variable: T.
# ** Error: producer.sv(32): near "protected": syntax error, unexpected "protected"
# ** Error: producer.sv(36): (qverilog-2163) Macro `uvm_component_utils_begin is undefined.
# ** Error: producer.sv(37): (qverilog-2163) Macro `uvm_field_object is undefined.
# ** Error: producer.sv(38): (qverilog-2163) Macro `uvm_field_int is undefined.
# ** Error: producer.sv(39): (qverilog-2163) Macro `uvm_field_int is undefined.
# ** Error: producer.sv(40): (qverilog-2163) Macro `uvm_component_utils_end is undefined.
# ** Error: producer.sv(46): (qverilog-2163) Macro `uvm_info is undefined.
# ** Error: producer.sv(46): near "(": syntax e
【 在 BUFG (民工) 的大作中提到: 】
: 你试着把ovm包放到questasim的目录下,具体你先找找avm在哪里
: 和它放一块。我现在手上没有modelsim之类的,晚上回去我试一下。
: uvm都可以跑起来。ovm没道理啊。
: ...................
☆─────────────────────────────────────☆
   BUFG (民工) 于  (Thu Aug 12 18:01:05 2010)  提到:
等我回家试一下。我上次是run起来了
而且还是modelsim呢。
【 在 feiyingCQ (feiying) 的大作中提到: 】
: uvm下面那个helloworld的例子 跑不起来啊:
: qverilog -f compile_questa_sv.f
: # QuestaSim qverilog 6.4c Compiler 2008.12 Dec  8 2008
: ...................
☆─────────────────────────────────────☆
   feiyingCQ (feiying) 于  (Thu Aug 12 19:30:58 2010)  提到:
在 helloworld。sv里加了如下include  可以跑了:
`include "../../src/macros/uvm_callback_defines.svh"
`include "../../src/macros/uvm_message_defines.svh"
`include "../../src/macros/uvm_object_defines.svh"
`include "../../src/macros/uvm_phase_defines.svh"
`include "../../src/macros/uvm_printer_defines.svh"
`include "../../src/macros/uvm_sequence_defines.svh"
`include "../../src/macros/uvm_tlm_defines.svh"
`include "../../src/macros/uvm_undefineall.svh"
`include "../../src/macros/uvm_version_defines.svh"
  `include "packet.sv"
  `include "producer.sv"
  `include "consumer.sv"
  `include "top.sv
【 在 BUFG (民工) 的大作中提到: 】
: 等我回家试一下。我上次是run起来了
: 而且还是modelsim呢。
☆─────────────────────────────────────☆
   BUFG (民工) 于  (Thu Aug 12 20:18:10 2010)  提到:
这么麻烦啊。
【 在 feiyingCQ (feiying) 的大作中提到: 】
: 在 helloworld。sv里加了如下include  可以跑了:
: `include "../../src/macros/uvm_callback_defines.svh"
:  `include "../../src/macros/uvm_message_defines.svh"
: ...................
☆─────────────────────────────────────☆
   BUFG (民工) 于  (Thu Aug 12 20:43:12 2010)  提到:
喔。。知道了。这个需要把ovm的整个包都下载到。
它的代码应该是较久了。
就象uvm的这个差不多,需要src的支持。
看一下uvm里的examples,run_questa_sv.f
【 在 BUFG (民工) 的大作中提到: 】
: 这么麻烦啊。
☆─────────────────────────────────────☆
   nanguawhj (南瓜) 于  (Thu Aug 12 22:14:47 2010)  提到:
不work,不知道如何是好啊
【 在 BUFG (民工) 的大作中提到: 】
: 还不work?
☆─────────────────────────────────────☆
   nanguawhj (南瓜) 于  (Thu Aug 12 22:23:49 2010)  提到:
没看太懂,大侠能解释的再具体些吗?我是下了OVM的整个src的,用的是OVM2.0.1,OVM2.0.1的readme-questa.txt中也说了,questasim6.4支持OVM2.0.1,但是我这里就是不能正确运行,还有各位说的UVM我去哪里找?我没用过UVM。谢谢啦!
【 在 BUFG (民工) 的大作中提到: 】
: 喔。。知道了。这个需要把ovm的整个包都下载到。
: 它的代码应该是较久了。
: 就象uvm的这个差不多,需要src的支持。
: ...................
☆─────────────────────────────────────☆
   nanguawhj (南瓜) 于  (Thu Aug 12 22:28:20 2010)  提到:
现在能运行了吗?得用OVM的库,你有吗?要不然是不能运行的,附件是OVM2.0.1的库,readme-questa中说questasim6.4以上的版本都支持,里面的src就是OVM的库文件,我将src拷贝到工程的根目录下了,并将src文件名改为了ovm,并且输入:qverilog +incdir+ovm ovm/ovm_pkg.sv +incdir+. rtl.sv interface.sv top.sv -R +OVM_TESTNAME=test1
命令执行,都是按照那个例子的说明进行的,但就是不行,所以来这里请教大家。
【 在 feiyingCQ (feiying) 的大作中提到: 】
:  按照上面的提示  我也运行不了那些例子
☆─────────────────────────────────────☆
   feiyingCQ (feiying) 于  (Thu Aug 12 22:37:27 2010)  提到:
我用的UVM   qustaim6.4c
cygwin里面直接cd到example目录里 用qverilog弄的
【 在 nanguawhj (南瓜) 的大作中提到: 】
: 现在能运行了吗?得用OVM的库,你有吗?要不然是不能运行的,附件是OVM2.0.1的库,readme-questa中说questasim6.4以上的版本都支持,里面的src就是OVM的库文件,我将src拷贝到工程的根目录下了,并将src文件名改为了ovm,并且输入:qverilog +incdir+ovm ovm/ovm_pkg.sv
: 命令执行,都是按照那个例子的说明进行的,但就是不行,所以来这里请教大家。
☆─────────────────────────────────────☆
   vimer (文科博士,不懂技术,也不想做民工) 于  (Thu Aug 12 22:48:59 2010)  提到:
汗,我还得再开台式机。好,我试一把。
【 在 nanguawhj (南瓜) 的大作中提到: 】
: 现在能运行了吗?得用OVM的库,你有吗?要不然是不能运行的,附件是OVM2.0.1的库,readme-questa中说questasim6.4以上的版本都支持,里面的src就是OVM的库文件,我将src拷贝到工程的根目录下了,并将src文件名改为了ovm,并且输入:qverilog +incdir+ovm ovm/ovm_pkg.sv
: 命令执行,都是按照那个例子的说明进行的,但就是不行,所以来这里请教大家。
☆─────────────────────────────────────☆
   BUFG (民工) 于  (Thu Aug 12 23:17:26 2010)  提到:
OK的啊。
我贴 下我的
把你例子拷到examples下面
比如:/examples/basic_examples/下面好了
从baseic_examples/ep/下
拷 compile_questa_sv.f vsim.do run_questa过来
还要将run_questa 最后一行改为vsim -do vsim.do -c top
只最将compile_questa_sv.f最后一行test.sv 换成rtl.sv interface.sv top.sv
运行。。
vsimc -c -do run_questa
【 在 nanguawhj (南瓜) 的大作中提到: 】
: 现在能运行了吗?得用OVM的库,你有吗?要不然是不能运行的,附件是OVM2.0.1的
库,readme-questa中说questasim6.4以上的版本都支持,里面的src就是OVM的库文
件,我将src拷贝到工程的根目录下了,并将src文件名改为了ovm,并且输入:
qverilog +incdir+ovm ovm/ovm_pkg.sv +incdir+. rtl.sv interface.sv top.sv -R
+OVM_TESTNAME=test1
: 命令执行,都是按照那个例子的说明进行的,但就是不行,所以来这里请教大家。
☆─────────────────────────────────────☆
   BUFG (民工) 于  (Thu Aug 12 23:17:59 2010)  提到:
主要是目录。因为这种代码都是example的,而且依赖目录。
【 在 BUFG (民工) 的大作中提到: 】
: OK的啊。
: 我贴 下我的
: 把你例子拷到examples下面
: ...................
☆─────────────────────────────────────☆
   nanguawhj (南瓜) 于  (Fri Aug 13 09:08:45 2010)  提到:
你用得是QuestaSim几点几?还有OVM用的是哪个版本?我用的是QuestaSim6.4 和OVM2.0.1,我按照你说的去运行一下看看行不行,你的OVM是在网上下的吗?还是QuestaSim自带的?
【 在 BUFG (民工) 的大作中提到: 】
: 主要是目录。因为这种代码都是example的,而且依赖目录。
☆─────────────────────────────────────☆
   nanguawhj (南瓜) 于  (Fri Aug 13 09:35:57 2010)  提到:
按照你说的做法做了,还是不行啊,还是报错,我在最后运行的时候输入的不是vsimc -c -do run_questa ,而是do run_questa,这个有影响吗?我在命令行中输入vsimc -c -do run_questa,提示我有错误,所以还是用的老方法输入的是do run_questa,但是还是不行,还是有错误,错误和原来的错误是一样的,我都无语了。为什么我老出错,而你们能正确运行呢?
【 在 BUFG (民工) 的大作中提到: 】
: OK的啊。
: 我贴 下我的
: 把你例子拷到examples下面
: ...................
☆─────────────────────────────────────☆
   BUFG (民工) 于  (Fri Aug 13 10:05:10 2010)  提到:
modelsim 6.4c
ovm是用的你贴的那个。
你先用我说的那个处理一下
看能否work
【 在 nanguawhj (南瓜) 的大作中提到: 】
: 你用得是QuestaSim几点几?还有OVM用的是哪个版本?我用的是QuestaSim6.4 和OVM2.0.1,我按照你说的去运行一下看看行不行,你的OVM是在网上下的吗?还是QuestaSim自带的?
☆─────────────────────────────────────☆
   BUFG (民工) 于  (Fri Aug 13 10:08:23 2010)  提到:
不是吧。
我再详细说一下。只能靠回忆了,在单位呢
我下载你上传的ovm2.01
把它放到modelsim 6.4c下,(原来会有,你先将原来的ovm 2.01改名)
把你的那个ovm_swithc拷到新ovm-2.01的
example/basic_exmaples/下
【 在 nanguawhj (南瓜) 的大作中提到: 】
: 按照你说的做法做了,还是不行啊,还是报错,我在最后运行的时候输入的不是vsimc -c -do run_questa ,而是do run_questa,这个有影响吗?我在命令行中输入vsimc -c -do run_questa,提示我有错误,所以还是用的老方法输入的是do run_questa,但是还是不行,还是有错误,错误和原来的错误是一样的,我都无语了。为什么我老出错,而你们能正确运行呢?
☆─────────────────────────────────────☆
   BUFG (民工) 于  (Fri Aug 13 10:10:12 2010)  提到:
我汗,我打错了,应该是
vsim -c -do run_questa
或者,你先
vsim -c
然后出现modelsim命令提示符后再 do run_questa
【 在 nanguawhj (南瓜) 的大作中提到: 】
: 按照你说的做法做了,还是不行啊,还是报错,我在最后运行的时候输入的不是vsimc -c -do run_questa ,而是do run_questa,这个有影响吗?我在命令行中输入vsimc -c -do run_questa,提示我有错误,所以还是用的老方法输入的是do run_questa,但是还是不行,还是有错误,错误和原来的错误是一样的,我都无语了。为什么我老出错,而你们能正确运行呢?
☆─────────────────────────────────────☆
   nanguawhj (南瓜) 于  (Fri Aug 13 10:24:03 2010)  提到:
既然你能跑,我怀疑可能是我的QuestaSim版本的问题,你用得ModelSim都能运行,那可能是QuestaSim6.4不支持这个OVM库的功能吧。我还没有ModelSim6.4c,我先用ModelSim的低版本试一下,如果还是不行就下个ModelSim6.4c试试,你的ModelSim在哪下的?实在不行我去下一个试试。
【 在 BUFG (民工) 的大作中提到: 】
: modelsim 6.4c
: ovm是用的你贴的那个。
: 你先用我说的那个处理一下
: ...................
☆─────────────────────────────────────☆
   BUFG (民工) 于  (Fri Aug 13 10:30:19 2010)  提到:
呃 ,你先用个modelsim也是个解决办法
建议你去montor的网上下载吧。允许下载
回来自己破解,估计用你的questa_sim的license就可
不过还是建议你能用questa_sim跑通比较好。
我用的是modelsim6.4c
【 在 nanguawhj (南瓜) 的大作中提到: 】
: 既然你能跑,我怀疑可能是我的QuestaSim版本的问题,你用得ModelSim都能运行,那可能是QuestaSim6.4不支持这个OVM库的功能吧。我还没有ModelSim6.4c,我先用ModelSim的低版本试一下,如果还是不行就下个ModelSim6.4c试试,你的ModelSim在哪下的?实在不行我去下一个试试。
☆─────────────────────────────────────☆
   nanguawhj (南瓜) 于  (Fri Aug 13 13:12:48 2010)  提到:
我装了ModelSim6.5c,按照你说的做法去进行操作,但是还存在问题,报的错也还是原来的错误,不知道是怎么回事,你是不是进行了什么配置啊?
【 在 BUFG (民工) 的大作中提到: 】
: 呃 ,你先用个modelsim也是个解决办法
: 建议你去montor的网上下载吧。允许下载
: 回来自己破解,估计用你的questa_sim的license就可
: ...................
☆─────────────────────────────────────☆
   BUFG (民工) 于  (Fri Aug 13 13:15:52 2010)  提到:
可否转一下你的脚本和
你的目录等信息
涉及个人隐私的处理一下。
多谢。
【 在 nanguawhj (南瓜) 的大作中提到: 】
: 我装了ModelSim6.5c,按照你说的做法去进行操作,但是还存在问题,报的错也还是原来的错误,不知道是怎么回事,你是不是进行了什么配置啊?
☆─────────────────────────────────────☆
   nanguawhj (南瓜) 于  (Fri Aug 13 13:16:29 2010)  提到:
有结果了吗?我还不能运行。
【 在 vimer (文科博士,不懂技术,也不想做民工) 的大作中提到: 】
: 汗,我还得再开台式机。好,我试一把。
☆─────────────────────────────────────☆
   nanguawhj (南瓜) 于  (Fri Aug 13 13:19:12 2010)  提到:
好的没有问题,我发上来,没什么 隐私的,谢谢啦!附件是我的那三个脚本,我上传的那个例子的目录是:C:\modeltech_6.5c\ovm-2.0.1\examples\basic_examples\ovm_switch_8
【 在 BUFG (民工) 的大作中提到: 】
: 可否转一下你的脚本和
: 你的目录等信息
: 涉及个人隐私的处理一下。
: ...................
☆─────────────────────────────────────☆
   BUFG (民工) 于  (Fri Aug 13 13:22:46 2010)  提到:
呵呵,你的compile_questa_sv.f
在编译的时候没有错误信息?
【 在 nanguawhj (南瓜) 的大作中提到: 】
: 好的没有问题,我发上来,没什么 隐私的,谢谢啦!附件是我的那三个脚本,我上传的那个例子的目录是:C:\modeltech_6.5c\ovm-2.0.1\examples\basic_examples\ovm_switch_8
☆─────────────────────────────────────☆
   nanguawhj (南瓜) 于  (Fri Aug 13 13:24:43 2010)  提到:
你说的敲命令是在ModelSim界面最下面的框里面敲吧?我敲的是:do run_questa
按照你说的敲:vsim -c -do run_questa,提示的问题是:# No design specified
,不知道是怎么回事?
【 在 BUFG (民工) 的大作中提到: 】
: 可否转一下你的脚本和
: 你的目录等信息
: 涉及个人隐私的处理一下。
: ...................
☆─────────────────────────────────────☆
   nanguawhj (南瓜) 于  (Fri Aug 13 13:26:19 2010)  提到:
以下是输入:do run_questa后的错误信息:
do run_questa
# ** Warning: (vlib-34) Library already exists at "work".
# Model Technology ModelSim SE vlog 6.5c Compiler 2009.08 Aug 27 2009
# -- Compiling package ovm_pkg
# -- Compiling module switch
# -- Compiling interface mem_interface
# -- Compiling interface input_interface
# -- Compiling interface output_interface
# ** Error: Sequencer.sv(13): Illegal parameter specification for non-parameterized class type.
# ** Error: Sequencer.sv(13): near "#": syntax error, unexpected '#', expecting ';'
# ** Error: Sequencer.sv(13): Error in class extension specification.
# ** Error: Sequencer.sv(20): super.new() call illegal for class with no super-class.
# ** Error: Sequence.sv(11): Illegal parameter specification for non-parameterized class type.
# ** Error: Sequence.sv(11): near "#": syntax error, unexpected '#', expecting ';'
# ** Error: Sequence.sv(11): Error in class extension specification.
# ** Error: Sequence.sv(14): super.new() call illegal for class with no super-class.
# ** Error: Sequence.sv(30): Illegal parameter specification for non-parameterized class type.
# ** Error: Sequence.sv(30): near "#": syntax error, unexpected '#', expecting ';'
# ** Error: Sequence.sv(30): Error in class extension specification.
# ** Error: Sequence.sv(33): super.new() call illegal for class with no super-class.
# ** Error: Driver.sv(13): Illegal parameter specification for non-parameterized class type.
# ** Error: Driver.sv(13): near "#": syntax error, unexpected '#', expecting ';'
# ** Error: Driver.sv(13): Error in class extension specification.
# ** Error: Driver.sv(25): super.new() call illegal for class with no super-class.
# ** Error: Environment.sv(32):  Failed to find name 'type_id' in specified scope
# ** Error: Environment.sv(33):  Failed to find name 'type_id' in specified scope
# ** Error: Environment.sv(36):  Failed to find name 'type_id' in specified scope
# ** Error: Environment.sv(40):  Failed to find name 'type_id' in specified scope
# ** Error: C:/modeltech_6.5c/win32/vlog failed.
# Error in macro ./run_questa line 2
# C:/modeltech_6.5c/win32/vlog failed.
#     while executing
# "vlog -f compile_questa_sv.f"
【 在 BUFG (民工) 的大作中提到: 】
: 呵呵,你的compile_questa_sv.f
: 在编译的时候没有错误信息?
☆─────────────────────────────────────☆
   BUFG (民工) 于  (Fri Aug 13 13:28:49 2010)  提到:
ic, a fuck bug about compile_questa_sv.f
just copy a new one from other examples
and change the last word
【 在 nanguawhj (南瓜) 的大作中提到: 】
: 以下是输入:do run_questa后的错误信息:
: do run_questa
: # ** Warning: (vlib-34) Library already exists at "work".
: ...................
☆─────────────────────────────────────☆
   nanguawhj (南瓜) 于  (Fri Aug 13 13:31:42 2010)  提到:
什么意思?没看明白,你是说compile_questa_sv.f文件有问题?什么问题啊?
【 在 BUFG (民工) 的大作中提到: 】
: ic, a fuck bug about compile_questa_sv.f
: just copy a new one from other examples
: and change the last word
☆─────────────────────────────────────☆
   nanguawhj (南瓜) 于  (Fri Aug 13 13:32:19 2010)  提到:
在别处用的时候就没有问题,用它能正确运行别的程序例子啊?
【 在 BUFG (民工) 的大作中提到: 】
: ic, a fuck bug about compile_questa_sv.f
: just copy a new one from other examples
: and change the last word
☆─────────────────────────────────────☆
   BUFG (民工) 于  (Fri Aug 13 13:33:14 2010)  提到:
u can first run modelsim> vlog -f compile_questa_sv.f
and make sure there're no errors.
【 在 nanguawhj (南瓜) 的大作中提到: 】
: 以下是输入:do run_questa后的错误信息:
: do run_questa
: # ** Warning: (vlib-34) Library already exists at "work".
: ...................
☆─────────────────────────────────────☆
   BUFG (民工) 于  (Fri Aug 13 13:35:06 2010)  提到:
我这破系统打个字很痛苦。
我昨晚上也遇到过这个问题。
vlog -f compile_questa_sv.f
有错。
后来我重新拷了一份过来。改了就OK了。
【 在 nanguawhj (南瓜) 的大作中提到: 】
: 什么意思?没看明白,你是说compile_questa_sv.f文件有问题?什么问题啊?
☆─────────────────────────────────────☆
   nanguawhj (南瓜) 于  (Fri Aug 13 13:42:03 2010)  提到:
vlog -f compile_questa_sv.f
# Model Technology ModelSim SE vlog 6.5c Compiler 2009.08 Aug 27 2009
# -- Compiling package ovm_pkg
# -- Compiling module switch
# -- Compiling interface mem_interface
# -- Compiling interface input_interface
# -- Compiling interface output_interface
# ** Error: Sequencer.sv(13): Illegal parameter specification for non-parameterized class type.
# ** Error: Sequencer.sv(13): near "#": syntax error, unexpected '#', expecting ';'
# ** Error: Sequencer.sv(13): Error in class extension specification.
# ** Error: Sequencer.sv(20): super.new() call illegal for class with no super-class.
# ** Error: Sequence.sv(11): Illegal parameter specification for non-parameterized class type.
# ** Error: Sequence.sv(11): near "#": syntax error, unexpected '#', expecting ';'
# ** Error: Sequence.sv(11): Error in class extension specification.
# ** Error: Sequence.sv(14): super.new() call illegal for class with no super-class.
# ** Error: Sequence.sv(30): Illegal parameter specification for non-parameterized class type.
# ** Error: Sequence.sv(30): near "#": syntax error, unexpected '#', expecting ';'
# ** Error: Sequence.sv(30): Error in class extension specification.
# ** Error: Sequence.sv(33): super.new() call illegal for class with no super-class.
# ** Error: Driver.sv(13): Illegal parameter specification for non-parameterized class type.
# ** Error: Driver.sv(13): near "#": syntax error, unexpected '#', expecting ';'
# ** Error: Driver.sv(13): Error in class extension specification.
# ** Error: Driver.sv(25): super.new() call illegal for class with no super-class.
# ** Error: Environment.sv(32):  Failed to find name 'type_id' in specified scope
# ** Error: Environment.sv(33):  Failed to find name 'type_id' in specified scope
# ** Error: Environment.sv(36):  Failed to find name 'type_id' in specified scope
# ** Error: Environment.sv(40):  Failed to find name 'type_id' in specified scope
# C:/modeltech_6.5c/win32/vlog failed.
以上是运行:vlog -f compile_questa_sv.f 的输出结果,报错,你昨天也是报这样的错误吗?
【 在 BUFG (民工) 的大作中提到: 】
: 我这破系统打个字很痛苦。
: 我昨晚上也遇到过这个问题。
: vlog -f compile_questa_sv.f
: ...................
☆─────────────────────────────────────☆
   nanguawhj (南瓜) 于  (Fri Aug 13 13:47:16 2010)  提到:
换了一个别的compile_questa_sv.f文件,还是不行,错误还是原来的错误,而且不知道怎么改。
【 在 BUFG (民工) 的大作中提到: 】
: 我这破系统打个字很痛苦。
: 我昨晚上也遇到过这个问题。
: vlog -f compile_questa_sv.f
: ...................
☆─────────────────────────────────────☆
   nanguawhj (南瓜) 于  (Fri Aug 13 14:42:03 2010)  提到:
在ModelSim6.5c下出来结果了,我重建了一个工程然后按照你的说明运行了一下就行了,谢谢啦!
【 在 BUFG (民工) 的大作中提到: 】
: u can first run modelsim> vlog -f compile_questa_sv.f
: and make sure there're no errors.
☆─────────────────────────────────────☆
   BUFG (民工) 于  (Fri Aug 13 15:17:30 2010)  提到:
con~
【 在 nanguawhj (南瓜) 的大作中提到: 】
: 在ModelSim6.5c下出来结果了,我重建了一个工程然后按照你的说明运行了一下就行了,谢谢啦!
☆─────────────────────────────────────☆
   nanguawhj (南瓜) 于  (Fri Aug 13 15:17:36 2010)  提到:
我又新建工程,在QuestaSim6.4下面重新跑了一下我这个例子,还是不行,错误和之前的一样,那这是不是QuestaSim6.4版本与OVM2.0.1不匹配吗?也就是说QuestaSim6.4版本比较低吗?但是我看OVM2.0.1的readme-questa.txt中有说OVM2.0.1所支持的QuestaSim版本包括QuestaSim6.4啊?想不明白,不知道为什么,现在的结果是ModelSim6.5c能够正确运行OVM2.0.1的例子了,试了几个OVM2.0.1自带的例子,也能正确运行,我先在ModelSim6.5c下面进行OVM的验证吧。我老是怀疑QuestaSim6.4版本不够,我想下个新版的QuestaSim试试,不过在网上找不到新版的啊,找到一个QuestaSim6.6b,但是没有licence,不能用,其它版本的最高的找到的就是QuestaSim6.4了,你们都是去哪下载类似的软件啊,感觉这种软件很不好找,不知道是不是我找的地方不对,在Mentor公司的网站的也没找到。
【 在 BUFG (民工) 的大作中提到: 】
: 呃 ,你先用个modelsim也是个解决办法
: 建议你去montor的网上下载吧。允许下载
: 回来自己破解,估计用你的questa_sim的license就可
: ...................
☆─────────────────────────────────────☆
   nanguawhj (南瓜) 于  (Fri Aug 13 15:18:14 2010)  提到:
谢谢你的耐心帮忙啦,好人啊,呵呵
【 在 BUFG (民工) 的大作中提到: 】
: con~
☆─────────────────────────────────────☆
   mkd (mianbao) 于  (Fri Aug 13 16:09:49 2010)  提到:
这个例子questasim6.4 + ovm2.0.3是可以跑过去的,ovm2.0.1应该也没有什么问题。
如果没记错的话,这个例子里面有些语法好像是不太符合SV的标准,需要改一下才能跑对。
【 在 nanguawhj (南瓜) 的大作中提到: 】
: 请问各位大侠,做验证的时候一般都用什么版本的Questasim 和什么版本的OVM,我用Questasim6.4 + OVM 2.0.1行吗?但是用这个去运行网站:
: http://www.testbench.in/CM_11_PHASE_8_SCOREBOARD.html给的例子,会报错:
: # QuestaSim qverilog 6.4 Compiler 2008.06 Jun 18 2008
: ...................
☆─────────────────────────────────────☆
   nanguawhj (南瓜) 于  (Fri Aug 13 16:47:55 2010)  提到:
你跑过这个例子吗?
这个你是直接用得QuestaSim6.4还是QuestaSim6.4a or b等别的版本?
我在QuestaSim6.4上运行过,不能跑,其提示错误如我第一个帖子所示,提示有语法错误等,但是这些语法我看过OVM2.0.1的用户手册,其是支持的,我看到过有些类的写法和这个例子中是一样的,然后我把这个例子拿到ModelSim6.5c中去跑,没有做任何改动,就能进行正确运行了,我现在也不知道是什么原因,而且OVM2.0.1自带的例子在ModelSim6.5c中也能正确运行,但是在QuestaSim6.4中,OVM2.0.1中自带的例子有些都不能正确运行,不知道是什么原因,大侠知道这是什么原因吗?
【 在 mkd (mianbao) 的大作中提到: 】
: 这个例子questasim6.4 + ovm2.0.3是可以跑过去的,ovm2.0.1应该也没有什么问题。
: 如果没记错的话,这个例子里面有些语法好像是不太符合SV的标准,需要改一下才能跑对。
☆─────────────────────────────────────☆
   mkd (mianbao) 于  (Fri Aug 13 18:23:56 2010)  提到:
我以前跑过这个例子。
questa好像是6.4c,记不太清了,ovm是2.0.3。
当时编译是报有语法错误的,改过之后就可以跑了,但是报的错误和你贴的不一样。
所以也不知道你那边为什么编不过去,也可能questa版本和OVM版本存在不兼容的问题。
另外questa目录下自带了ovm的src,好像是verilog目录下,你可把编译时ovm src的路径以及ovm_pkg.sv的路径改到questa目录下的路径试试。我现在手头没有questa,所以具体路径你还得自己找一下。
现在OVM已经有2.0.3版本,你可以用这个版本来练习,不必用2.0.1了。
【 在 nanguawhj (南瓜) 的大作中提到: 】
: 你跑过这个例子吗?
: 这个你是直接用得QuestaSim6.4还是QuestaSim6.4a or b等别的版本?
: 我在QuestaSim6.4上运行过,不能跑,其提示错误如我第一个帖子所示,提示有语法错误等,但是这些语法我看过OVM2.0.1的用户手册,其是支持的,我看到过有些类的写法和这个例子中是一样的,然后我把这个例子拿到ModelSim6.5c中去跑,没有做任何改动,就能进行正确运行了,我现在也不知道是什么原因,而且OVM2.0.1自带的例子在ModelSim6.5c中也能正确运行,但是在QuestaSim6.4中,OVM2.0.1中自带的例子有些都不能正确运行,不知道是什么原因,大侠知道这是什么原因吗?

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top