微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 微电子和IC设计 > 微电子学习交流 > 求教如何使用design compiler综合出来的网表

求教如何使用design compiler综合出来的网表

时间:12-11 整理:3721RD 点击:
综合出来的.db文件怎么用modelsim进行后仿啊?我现在综合出来的.db文件modelsim都不认,还是说后仿用的不是这个.db文件?请高手指点。

我现在就是不知道怎么让他吐Netlist,只会输出db文件。
具体用什么命令,提示一下吧。
sdf现在倒是有了,用write_sdf得到的。

change_names ....
write -f ver -hier -o
R.T.F.M :)

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top