微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 嵌入式设计讨论 > FPGA,CPLD和ASIC > 怎么导出modelsim的波形数据呢

怎么导出modelsim的波形数据呢

时间:10-02 整理:3721RD 点击:
大家好
       我在用modelsim来仿真,不过仿真出来的是一个时序的波形文件,我需要把数据导出来带入matlab来进行分析。我想问问怎么才能把输出的数据结果导出到一个文件保存下来呢?谢谢大家了

受益了

学习了。

谢谢二楼,不过可否说详细点呢?

谢谢谢谢

呵呵,呵呵

受益了 不过能不能说详细点呢

dump into a vcs file...

我也一直被同样的问题困扰,不过上面的回答我是不太清楚

直接存成VCD文件,文本格式,你想干啥都行

vcd文件就可以吧

多谢了

刚接触这方面,请教具体怎么导出仿真的数据,用于matlab。dumpfile如何使用。谢谢

初学modelsim,请问能不能给出详细点的解释呢

学习了。

在Modelsim的安装目录中,有一个文件夹WIN32(Linux是bin),有这样几个执行文件,wlf2vcd.exe和wlf2log.exe,都可以实现以上转换。
在命令窗口运行即可(注意需要进入文件所在目录或者在全局变量中添加路径)。

求楼上给个例子?

Matlab对Modelsim仿真生成数据的处理也是通过文件读写实现的。即通过Verilog语句,将仿真过程中的某个信号写入文件,然后在Matlab中在把这个文件的数据读出来,就可以在Matlab中进行分析了。
    下图也通过一个简单的例子,说明一下整个过程。
    以下的Verilog语句实现将信号data_out的数据写入data_out.txt文件
    integer w_file;
    initial w_file = $fopen("data_out.txt");
    always @(i)
    begin
        $fdisplay(w_file,"%h",data_out);
        if(i == 8'd255)    //共写入256个数据
            $stop;
    end
你百度一下就有。

学习了

能不能用matlab的simulink将modelsim的输出变成输入激励



    学些了,很好,实践一下

我也是这个问题 但是这个VCD文件怎么看 信号变化

受益了-



   两种方法:1.在Verilog仿真的TB文件中写入一下代码:     $dumpfile("1.vcd");//名字随便取
    $dumpvars;
    $dumpon;
    #2000000//延时一段时间
    $dumpall;
    $dumpoff;
    $dumpflush;//将数据存入硬盘中,否则数据保留在缓存,等待仿真结束再写入磁盘#
这样仿真后就能生成*.vcd的文件,vcd是文本格式的文件,可以打开再进一步处理。
如果想要在modelsim下查看vcd的波形,可以这样:
先转换成wlf文件,再用modelsim的波形窗口打开(再modelsim的命令窗口输入以下命令)。
vcd2wlf 1.vcd 1.wlf
view wave
然后在波形窗口的菜单中打开1.wlf
add wave *

2.另一种方法是直接在仿真开始的时候,在modelsim的命令窗口输入生成vcd文件的命令来生成vcd文件。例如:
vcd file("1.vcd")
vcd add *
run 1ms
这样就生成了一个1.vcd了,根在Verilog中的方法效果类似,更多命令可以上网查查。

modelsim 本身是可以导出波形的 格式为 VCD格式。 当然也可以保存为二进制文件,这需要你用verlog或vhdl实现

$fopen()

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top