异步fifo综合,时钟怎么约束?
时间:10-02
整理:3721RD
点击:
小弟在综合异步fifo,有两个时钟:wclk,rclk;两个复位:wrst_n,rrst_n。我迷糊了,在脚本里定义时钟该怎么写create_clock -period $clk_period -name "clk" [get_ports $clock_name]?
分别定义2个时钟,然后时钟相互set_false_path;
是这样吗,create_clock -period $clk_period -name "wclk" [get_ports $clock_name]
create_clock -period $clk_period -name "rclk" [get_ports $clock_name]
set_false_path [get_ports $clock_name]
?
在这里说了用处不大, 你还是自己去查阅一下怎样定义SDC, 弄清楚各项命令, 在这样在熟悉
set_false_path -from wclk -to rclk
set_false_path -from rclk -to wclk
这个说的很在理,我之前综合单时钟时SDC部分老是搞不明白,你能否发份相关定义SDC的资料给我,邮箱是ym520zw@163.com,谢谢了
http://www.chalayout.com/Article/物理版图/201006/20100628144437.html 这里有个链接 你自己慢慢看哈
非常感谢
非常感谢
2楼5楼正解
学习了,谢谢六楼。
学习了,各位大神
