微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 嵌入式设计讨论 > FPGA,CPLD和ASIC > xilinx pcie ip核仿真疑问

xilinx pcie ip核仿真疑问

时间:10-02 整理:3721RD 点击:
大家好,我在跑ip核自己生成的仿真文件时,看到RX收到的TLP头标数据为0x01a0_090f_4000_0001,根据资料上面头标的结构,fmt = 00,Type = 00001,所以该TLP应该是一个3DW无数据的锁存储器读请求。但是仿真波形上RX解析的结果却是一个写请求,我觉得很疑惑,希望各位可以为我解答,谢谢了。

你是用他的demo么



   是的,现在这个问题已经解决了,谢谢你的回答

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top