微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 嵌入式设计讨论 > FPGA,CPLD和ASIC > 综合时为何总提示Input XXX is unused呢

综合时为何总提示Input XXX is unused呢

时间:10-02 整理:3721RD 点击:

  1. library ieee;
  2. use ieee.std_logic_1164.all;
  3. use ieee.std_logic_unsigned.all;

  4. entity save is
  5. port(
  6.         frame:in std_logic;                --高电平时,存储
  7.         wrsig:in std_logic;                --上升沿时,到来一个字节的数据
  8.         datain:in std_logic_vector(7 downto 0)
  9. );

  10. end entity save;

  11. architecture behav of save is

  12.         constant N:integer:=51;
  13.         subtype byts is std_logic_vector(7 downto 0);
  14.         type  memory is array(0 to N-1) of byts;
  15.         
  16.         signal        data_mem:memory;
  17.         
  18.         begin
  19.         process (frame,wrsig)
  20.            variable cnt:integer range 0 to 50;
  21.           begin
  22.                 if frame='0' then
  23.                         cnt:=0;
  24.                 elsif rising_edge(wrsig) then
  25.                         if cnt<51 then
  26.                          data_mem(cnt)<=data_in;
  27.                         end if;
  28.                 end if;
  29.         end process;
  30. end behav;

复制代码


为什么综合时总是提示说Input is unused,三个输入都是unused

因为你的模块没有输出信号,将所有的信号都给优化掉了

学习学习看看看看

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top